Documente online.
Zona de administrare documente. Fisierele tale
Am uitat parola x Creaza cont nou
 HomeExploreaza
upload
Upload




LUCRARE DE SPECIALITATE CIRCUITE BASCULANTE BISTABILE

tehnica mecanica



MINISTERUL EDUCAŢIEI sI CERCETĂRII




GRUPUL sCOLAR GEORGE BARIŢIU

BAIA MARE








LUCRARE DE SPECIALITATE


CIRCUITE BASCULANTE BISTABILE























CIRCUITE BASCULANTE BISTABILE


ARGUMENT




Domeniul circuitelor integrate digitale se afla sub imperiul celor mai diverse tendinte ce se manifesta în tehnologia dispozitivelor semiconductoare, în proiectarea sistemelor digitale, în conceperea structurilor interne ale unor circuite integrate de mare complexitate si, nu în ultimul rând, în contextul functional pe care-l abordeaza electronica în demersul ei de a facilita cât mai eficient impactul dintre natura, om si societate.

Indiferent de tipul de circuit electronic avut în vedere, acesta prezinta doua aspecte : unul legat de prelucrarea semnalului pe care îl realizeaza si celalalt legat de modul în care este realizat circuitul.

Primul aspect defineste functia de prelucrare a circuitului care, în cazul circuitelor basculante bistabile, poate fi o functie de memorare a cuvintelor de cod binar.

Cel de-al doilea aspect precizeaza modul în care este realizata functia, existând variante de realizare dupa tipul componentelor utilizate, tehnologia de fabricatie sau alte criterii. Fara a intra în detalii legate de tehnologia de fabricatie care evolueaza cu o mare rapiditate impusa de cerintele utilizatorilor, circuitele basculante bistabile pot fi realizate atât cu tranzistoare bipolare, cât si cu tranzistoare unipolare, fiecare varianta prezentând avantaje si dezavantaje specifice.

Circuitele basculante bistabile sunt utile într-o gama larga de aplicatii care folosesc caracteristicile proprii ale acestora. Ele intra în structura numaratoarelor, registrelor si memoriilor semiconductoare.

În lucrare sunt prezentate diverse tipuri de circuite basculante bistabile: S-R, J-K, T, D, precum si aplicatiile acestora.

CUPRINS





CAPITOLUL 1.CIRCUITE BASCULANTE BISTABILE

1.1. Generalitati

1.2. Definitie. Clasificare


CAPITOLUL 2.CIRCUITUL BASCULANT BISTABIL DE TIP R-S

2.1. CBB de tip R-S asincron

2.2. CBB de tip R-S sincron

2.3. CBB de tip R-S Master-Slave


CAPITOLUL 3.CIRCUITUL BASCULANT BISTABIL DE TIP J-K

3.1. CBB de tip J-K asincron

3.2. CBB de tip J-K sincron

3.3. CBB de tip J-K Master-Slave

3.4. CBB de tip T


CAPITOLUL 4.CIRCUITUL BASCULANT BISTABIL DE TIP D

4.1. CBB de tip D asincron

4.2. CBB de tip D sincron

4.3. CBB de tip D Master-Slave


CAPITOLUL 5. DATE DE CATALOG


CAPITOLUL 6. APLICAŢII


BIBLIOGRAFIE

CAPITOLUL 1.

CIRCUITE BASCULANTE BISTABILE


1.1.GENERALITĂŢI



Circuitele basculante bistabile sunt elementele de baza ale circuitelor logice secventiale, asa precum portile logice sunt elementele de baza ale circuitelor logice combinationale.

Circuitele logice secventiale, spre deo 616c29g sebire de cele combinationale, sunt circuite cu memorie. Aceasta înseamna ca, din examinarea datelor de iesire, se poate deduce ultima comanda primita de circuit.

Functia de iesire la un moment dat depinde nu numai de variabilele de intrare, cât si de starea anterioara a circuitului.

În general, un circuit logic secvential poate fi considerat ca un circuit logic combinational cu reactie, starea iesirii fiind considerata drept variabila de intrare.

Circuitele secventiale pot fi asincrone sau sincrone.

În cazul unui circuit asincron starea urmatoare devine stare prezenta dupa un timp determinat de întârzierile datorate propagarilor interne.

La circuitele sincrone elementele de întârziere sunt substituite cu elemente de memorie, modificarea sistemului si a iesirilor sale facându-se numai la momente de timp discrete, determinate de un semnal de tact, T (CK), ce se aplica circuitului. Momentul înscrierii în memorie se considera fie pe frontul pozitiv, fie pe frontul negativ al impulsului de tact.

Circuitele sincrone au avantajul unei functionari stabile, fata de cea, uneori instabila, a circuitelor asincrone.


























1.2. DEFINIŢIE. CLASIFICARE.



Observatie:

Semnalul de tact (T) este un semnal periodic în timp. El se mai numeste ceas (CK).


 







Dupa modul în care sunt comandate

 



Bistabil   S-R Bistabil J-K




CAPITOLUL 2.

CIRCUITUL BASCULANT BISTABIL DE TIP R-S


2.1.CBB DE TIP R-S ASINCRON


Circuitul are :

doua intrari de comanda (intrari de date), notate cu S ( de la SET) si R (de la RESET);

doua iesiri complementare, notate cu Q, respectiv cu .

Intrarea S este folosita pentru a înscrie informatia în circuit, iar intrarea R pentru a sterge informatia din circuit.

Observatie:

De regula informatia se asociaza, conventional, cu valoarea logica 1. În acest caz se spune despre circuit ca este cu comenzi active pe 1.

Este posibil însa, ca informatia sa fie asociata cu valoarea logica 0. În acest caz se spune despre circuit ca este cu comenzi active pe 0, iar intrarile în circuit se vor nota , respectiv .


 








Rn Sn

Qn+1


Qn







Observatii:

  1. Pentru ca provoaca aparitia unei stari de nedeterminare ( de incertitudine), comanda SnRn = 11 este considerata comanda interzisa.
  2. Conditia de buna functionare a circuitului este : Sn*Rn = 0

Schema logica a circuitului se deduce, tinând cont de de faptul ca starea circuitului la momentul t n+1 depinde atât de comenzile primite la momentul tn (Rn si Sn), cât si de

starea anterioara a circuitului (Qn). Pentru aceasta se rescrie tabelul de functionare din

figura 1. , considerând Qn+1 ca o functie de trei variabile: Rn,Sn si Qn. În urma minimizarii cu ajutorul diagramelor Veitch-Karnaugh si a unei prelucrari simple se obtin relatiile care exprima functiile de iesire :


 

;


În figura 2. este ilustrata schema logica realizata cu porti SAU NU, corespunzatoare relatiilor de mai sus.


Fig. 2. Schema logica a bistabilului R-S asincron cu comenzi active pe 1


Corectitudinea schemei poate fi verificata si prin confirmarea tabelului de functionare:

pentru RnSn = 00


pentru RnSn = 01  


pentru RnSn = 10  


pentru RnSn = 11  


La comanda RnSn = 11 iesirile nu mai respecta conditia de complementaritate, circuitul pierzându-si caracterul de circuit cu doua stari.

Observatie:

Pentru evitarea nedeterminarilor ce apar în urma unei comenzi RnSn = 11exista doua solutii :

sa se utilizeze circuitul în acele aplicatii în care asemenea comenzi nu apar;

sa se modifice schema circuitului astfel încât acesta sa aiba o evolutie

cunoscuta si dupa o astfel de comanda.


 










Pentru celelalte tipuri de bistabili (J-K ,D) aceasta problema a fost solutionata, astfel încât indiferent de natura comenzilor de pe intrarile circuitului, acesta nu va fi niciodata în stare de nedeterminare.


În figura 3. este ilustrata prin diagrame de timp functionarea bistabilului R-S asincron cu comenzi active pe 1.


S



t

Q



t



Fig. 3. Ilustrarea functionarii prin diagrame de timp pentru bistabilul R-S asincron


b.

 

Qn+1








Qn

Fig. 4. Schema bloc si tabelul de functionare


a circuitului se deduce dupa un rationament similar celui facut în cazul bistabilului R-S asincron cu comenzi active pe 1. În urma prelucrarii functiilor de iesire, în prealabil minimizate, se obtin relatiile :

;


 




În figura 5. este ilustrata schema logica realizata cu porti sI NU, corespunzatoare relatiilor de mai sus.






Fig. 5. Schema logica a bistabilului R-S asincron cu comenzi active pe 0


Asa cum reiese din relatiile de mai jos, schema corespunde tabelului de functionare descris în figura 4.

pentru =


pentru =


pentru =


pentru =


Influenta comenzii interzise ,= 00 , se poate observa si în acest caz : iesirile nu mai respecta conditia de complementaritate, fiind amândoua pe nivel logic 1.

O aplicatie tipica pentru acest bistabil este legata de utilizarea lui pentru eliminarea oscilatiilor ce apar la contactele mecanice.

Astfel, în situatia în care contactul nu este perfect, intrarile în bistabil (intrari în porti sI NU) sunt în gol ( pe nivel logic1) si se memoreaza starea anterioara, Qn+1 = Qn.




















2.2.CBB DE TIP R-S SINCRON


La circuitele basculante bistabile asincrone trecerea într-o anumita stare este determinata exclusiv de semnalul ce reprezinta informatia care trebuie înscrisa în circuit.

Aceasta structura prezinta dezavantajul ca, exista tranzitii posibile la intrarea circuitului pentru care comutarea iesirii nu este previzibila. Cum în practica este greu de controlat succesiunea tranzitiilor, este necesar sa existe un circuit care sa execute comenzile numai dupa ce acestea s-au stabilizat la valorile lor corecte. În acest fel, este evitata executarea unor comenzi gresite datorate impulsurilor parazite ce pot sa apara în timpul tranzitiilor. Un astfel de circuit este CBB de tip R-S sincron.

Circuitul sincron are, pe lânga cele doua intrari de date, o intrare de tact ( de ceas). Pentru intrarea de tact se foloseste fie notatia din limba româna,T, fie cea din limba engleza, CK (Clock).

Observatie:

Semnalul de tact poate determina comutarea bistabilului în doua moduri :

pe durata impulsului de tact , în orice moment;

pe unul din fronturile impulsului de tact, ceea ce permite definirea precisa a momentului în care are loc bascularea.

Observatie:

În structurile integrate se prefera comutarea pe frontul pozitiv (crescator), sau negativ (descrescator) al impulsului de tact.



 





În functie de modul în care actioneaza tactul asupra momentelor de timp în care are loc comutarea, exista :

R-S sincron cu comenzi active pe 1, care basculeaza pe frontul pozitiv al tactului;

R-S sincron cu comenzi active pe 0, care basculeaza pe frontul negativ al tactului.

a

 

R S

T

Qn+1



Qn










x x


Qn








Schema logica ilustrata în figura 7. ne ajuta sa întelegem mai bine functionarea circuitului, asa cum reiese din tabelul de functionare prezentat mai sus.





Fig. 7. Schema logica a bistabilului R-S sincron cu comenzi active pe 1



Comenzile în circuitul sincron se executa în ritmul impulsurilor de tact , care se aplica simultan portilor de intrare, de tip sI NU. La fiecare impuls se realizeaza o etapa în procesul prelucrarii informatiei.

Se disting trei situatii în functionarea circuitului :

Pe frontul pozitiv al tactului (T: 0→1) portile de intrare se deschid, permitând transmiterea informatiei spre bistabilul propriu-zis. Functionarea este sincrona.

Pe durata tactului (T = 1), când portile sunt deschise, circuirul basculeaza conditonat de modificarea intrarilor de date. Functionarea este asincrona.

Când tactul este în 0, portile de intrare sunt blocate. Circuitul mentine starea anterioara trecerii în 0 a tactului.

Pe durata în care portile de intrare sunt deschise trebuie evitata comanda interzisa RnSn = 11.

În afara intrarilor sincrone circuitul mai are doua intrari asincrone, care servesc la aducerea la 0 (), respectiv la 1( ) a bistabilului. Aparitia unor comenzi pe aceste intrari este independenta de prezenta tactului, astfel încât ele pot fi considerate prioritare în raport cu celelalte intrari.


Observatie :

Pentru asigurarea functionarii sincrone trebuie evitate schimbarile intrarilor de date pe durata cât portile de intrare sunt deschise.


 





Qn+1












Qn

x x


Qn







Jn Kn

Qn+1


Qn







Fig. 13. Schema bloc si tabelul de functionare


Examinând tabelul de functionare observam ca :

pentru J = K = 0, starea bistabilului nu se schimba, Qn+1 = Qn

pentru J = 0 siK = 1 sau J = 1 si K = 0, bistabilul trece în starile Q = 0, respectiv Q = 1.

pentru J = K = 1, circuitul comuta în starea complementara celei în care se afla, Qn+1 = .


Întrucât pentru J = K = 1, circuitul comuta în functie de starea în care se afla, este necesara aducerea la intrare a semnalului de iesire.


Schema logica ilustrata în figura 14. evidentiaza reactia mentionata mai sus :


Fig. 14. Schema logica a bistabilului J-K asincron


Expresia functiilor de iesire a fost dedusa tinând cont de faptul ca starea circuitului la momentul t n+1 depinde atât de comenzile primite la momentul tn (Jn si Kn), cât si de starea anterioara a circuitului (Qn). Pentru aceasta s-a rescris tabelul de functionare din figura 14. , considerând Qn+1 ca o functie de trei variabile: Jn,Kn si Qn.

În urma prelucrarii functiilor, minimizate în prealabil cu ajutorul diagramelor Veitch-Karnaugh, s-au obtin relatiile pe baza carora a fost reprezentata schema logica cu porti SAU NU si porti sI :


Jn Kn

T

Qn+1



Qn









x x


Qn











Fig. 15. Schema bloc si tabelul de functionare


Comenzile în circuitul sincron se executa în ritmul impulsurilor de tact, la fiecare impuls realizându-se o etapa în procesul prelucrarii informatiei.

Se disting trei situatii :

Pe durata tactului (T = 1), când portile sunt deschise, circuirul basculeaza conditonat de modificarea intrarilor de date. Functionarea este asincrona.

Când tactul este în 0, portile de intrare sunt blocate. Circuitul mentine starea anterioara trecerii în 0 a tactului






T



t

J



t


K


t


Q



T

Qn+1



Qn





Fig.20. Schema bloc si tabelul de functionare


Observatie :

CAPITOLUL 4.

CIRCUITUL BASCULANT BISTABIL DE TIP D


4.1. CBB DE TIP D ASINCRON


Schema bloc din figura 21. arata ca acest bistabil se poate obtine fie dintr-un bistabil J-K, fie dintr-unul R-S în urma efectuarii legaturilor :

D = J = sau D = S =



Fig. 21. Schema bloc a bistabilului D asincron


Tabelul de functionare din figura 22. arata faptul ca bistabilul copiaza la iesire ceea ce se aplica la intrare.








Fig. 22. Tabelul de functionare al bistabilului D asincron



Ecuatia caracteristica a circuitului este :

Qn+1

Qn

Qn+1














 





Functia realizata de circuit nu prezinta interes din punct de vedere practic, astfel încât varianta asincrona nu se utilizeaza.


4.2. CBB DE TIP D SINCRON



Schema bloc si schema logica din figura 23. prezinta o varianta a circuitul D sincron.


SERIA CMOS 4000

4 CBB de tip R-S cu porti SAU NU

4 CBB de tip R-S cu porti sI NU

4 CBB de tip D cu zavorâre (D latch )

2 CBB de tip J-K MS cu stergere si setare

2 CBB de tip D cu comutare pe front pozitiv

MMC 4043

MMC 4044

MMC 4042

MMC 4027

MMC 4013





Fig. 27. Configuratia bistabilelor integrate din seria CMOS


Tabelul 2 Codurile celor mai reprezentative circuite basculante bistabile integrate în tehnologie TTL.

TIPURI DE BISTABILI

SERIA TTL

CBB de tip J-K    cu comutare pe front pozitiv

CBB de tip R-S MS cu stergere si setare

CBB de tip J-K    cu stergere si setare

CBB de tip D cu stergere si setare

4 CBB de tip D cu zavorâre (D latch )

2 CBB de tip J-K    MS cu stergere si setare

4 CBB de tip D cu zavorâre (D latch )



CDB 472 E

CDB 474 E









 


Fig. 28. Configuratia bistabilelor J-K integrate din seria TTL


 


Fig. 29. Configuratia bistabilelor D integrate din seria TTL


Circuitele basculante bistabile îsi gasesc aplicatii într-un domeniu foarte vast. Circuitele de numarare constituie cea mai semnificativa utilizare a bistabililor. Alte tipuri de circuite în care se folosesc bistabili sunt registrele de deplasare si de memorare sau circuitele de memorare.


















CAPITOLUL 6.

APLICAŢII



Sa se descrie prin diagrame de timp functionarea schemei din figura 30.



Fig.30.


Solutie


Schema este realizata cu doua bistabile J-K MS, care comuta sincron pe frontul negativ al tactului.

Ele sunt interconectate conform relatiilor :

J0 = ; K0 = Q0 ; J1 = Q0 ; K1 =

Pornind de la o stare initiala în care Q0 = Q1 = 1, se traseaza diagrama din figura 31. pentru patru impulsuri de tact.


Fig.31. Diagramele care descriu functionarea circuitului



Sa se analizeze functionarea schemei din figura 32.


Fig. 32.





Solutie


Schema este realizata cu doua bistabile de tip D Master Slave interconectate conform relatiilor : D0 = ; D1 =

Aceste conexiuni fac din bistabilii de tip D bistabili de tip T, cu T = 1.

Din diagramele de timp ilustrate în figura 33. se poate observa ca schema reprezinta un un numarator asincron direct de 2 biti.



Fig. 33. Diagramele care descriu functionarea circuitului


Sa se deduca evolutia semnalului la iesirea circuitului din figura 34. pentru 8 impulsuri de tact, daca initial Q0 = 0 si Q1 = 1.


Fig. 34.

Solutie

Schema este realizata cu un bistabil J-K Master Slave si cu un bistabil D sincron.

Bistabilul J-K MS, având J = K = 1, va bascula pe fiecare front negativ al tactului, în starea complementara celei în care a fost.

Bistabilul D va înscrie la iesirea sa, pe frontul pozitiv al tactului, ceea ce gaseste la iesirea circuitului sI, adica D=Q0*.

Evolutia circuitului este ilustrata prin formele de unda din figura 35.



Fig. 35. Diagramele care descriu functionarea circuitului





BIBLIOGRAFIE


Morris R.L., Proiectarea cu circuite integrate TTL - Editura tehnica, Bucuresti, Miller J.R. 1974


Toacse Gh., Electronica digitala - Editura Teora, Bucuresti, 1996

Nicula D.


stefan Gh. Circuite integrate digitale - Editura Didactica si Pedagogica,

Bucuresti, 1983


Spânulescu I., Circuite integrate digitale si sisteme cu microprocesoare- Editura

Spânulescu S.I. Victor, Bucuresti, 1996


* * * Circuite integrate logice. Catalog. - IPRS Baneasa, 1978


Data Book - Microelectronica, 1985












































































































Document Info


Accesari: 14276
Apreciat: hand-up

Comenteaza documentul:

Nu esti inregistrat
Trebuie sa fii utilizator inregistrat pentru a putea comenta


Creaza cont nou

A fost util?

Daca documentul a fost util si crezi ca merita
sa adaugi un link catre el la tine in site


in pagina web a site-ului tau.




eCoduri.com - coduri postale, contabile, CAEN sau bancare

Politica de confidentialitate | Termenii si conditii de utilizare




Copyright © Contact (SCRIGROUP Int. 2024 )